Vsim schematic doesn't work (PULPissimo)
#6
(04-03-2020, 09:58 AM)kgf Wrote: No.. the version is OK. Technically it is better to use vopt as a separate step and not let it be called implicitly through vsim. You get better control over it. This is now more than 10 years old. Back in the day, Modelsim changed to a two step compile process (I believe starting with version 6.0 or so) from their older single step process. To make the transition transparent, they added this implicit vopt stage so that people that wrote scripts in 2004 or so, would not have to make any changes.

For a reasonably sized design, it makes more sense to do two separate tasks
vopt options
vsim

As for your question, I am not sure anyone here tried the feature you mention, personally I have never used it. But 2019.1 should be recent enough to have everything working properly. Some of the posters used much older or the AE version, which ended up being an issue (mainly for assertions and SV features).

The thing you mention above Frank is actually the problem. PULPissimo is compiled with this two step approach. You generated the debugdb only for the testbench while the actual RTL was already optimized before that (without the -debugdb option).

In order to generate the debugdb for the whole design additionally to the changes you already made you have to modify the following file:
pulpissimo/sim/tcl_files/rtl_vopt.tcl

Change line 28 from:
    eval exec >@stdout vopt+acc -o vopt_tb tb_pulp -floatparameters+tb_pulp  $VSIM_IP_LIBS $VSIM_RTL_LIBS -work work 
to:
    eval exec >@stdout vopt -debugdb +acc -o vopt_tb tb_pulp -floatparameters+tb_pulp  $VSIM_IP_LIBS $VSIM_RTL_LIBS -work work 

and rerun the rtl build with 'make clean build' from the toplevel directory.

I just verified that this allows to use the schematic viewer in questa-2020.1.
Reply


Messages In This Thread
RE: Vsim schematic doesn't work (PULPissimo) - by meggiman - 04-03-2020, 10:36 AM

Forum Jump:


Users browsing this thread: 1 Guest(s)