QuestaSim Installation for RTL Simulation
#9
(09-22-2022, 05:06 AM)kgf Wrote: I think we need to update the description a little bit. It seems to be confusing.

The
 find: `work': No such file or directory

is not really the issue. Do not worry about that that much (we should fix that it appears, but that is not what is causing the problem).

Before you start, make sure
- executables for Modelsim (or other simulator) are in your search path.. i.e. if you type vlog (for Modelsim/Questasim) on the command line it will work.
- seems like the script was tested with bash as the shell (maybe some others as well). At least I had some issues with csh.. You seem to have 'bash' as one of your error lines suggests it. So in your case this should be OK.

The
 ./bender checkout

part is strange.. once you download bender (part of the make checkout) it should have been installed with execute permissions. I guess, the script is not checking this properly and assumes this is the case. If your setup has a umask that prevents created files to be executable this could cause the issue.. See the permissions of bender
 ls -l bender

And if you see it is not executable (something like -rwxr-xr-x) you can change this by
 chmod 755 bender

should fix the issue.

Hi, thank you for your timely reply!

I have tested by typing vlog, and Modelsim/Questasim is executable.
The problem I mentioned above has been solved with your solution (chmod 755 bender).

However, it seems that I encountered other problems when I typed "make checkout". It turns out as follows:
Code:
./bender checkout
touch Bender.lock
make scripts
make[1]: Entering directory `/workspace/home/huangcong/pulpissimo'
echo 'set ROOT [file normalize [file dirname [info script]]/..]' > sim/compile.tcl
./bender script vsim \
        --vlog-arg="-suppress 2583 -suppress 13314 \"+incdir+\$ROOT/rtl/includes\"" --vcom-arg="" \
        -t rtl -t test \
        | grep -v "set ROOT" >> sim/compile.tcl
make[1]: *** [scripts-bender-vsim] Error 1
make[1]: Leaving directory `/workspace/home/huangcong/pulpissimo'
make: *** [checkout] Error 2

When I typed "make build", the error code is:
Code:
cd sim && make all
make[1]: Entering directory `/workspace/home/huangcong/pulpissimo/sim'
make -C ../rtl/tb/remote_bitbang all
make[2]: Entering directory `/workspace/home/huangcong/pulpissimo/rtl/tb/remote_bitbang'
cc -MT remote_bitbang.o -MMD -MP -MF ./.d/remote_bitbang.Td -std=gnu11 -fno-strict-aliasing -Wall -Wextra -Wno-missing-field-initializers -Wno-unused-function -Wno-missing-braces -O2 -g -march=native -DENABLE_LOGGING -DNDEBUG -fPIC -I./  \
        -c  remote_bitbang.c -o remote_bitbang.o
ld -shared -E --exclude-libs ALL -o librbs.so  \
        remote_bitbang.o sim_jtag.o
make[2]: Leaving directory `/workspace/home/huangcong/pulpissimo/rtl/tb/remote_bitbang'
vsim -c -do 'source compile.tcl; quit'
/eda/mentor/questa_10.4/questasim/bin/../linux/vish: error while loading shared libraries: libXft.so.2: cannot open shared object file: No such file or directory
make[1]: *** [build] Error 127
make[1]: Leaving directory `/workspace/home/huangcong/pulpissimo/sim'
make: *** [build] Error 2

I really have no clues how to fix the problems (as I am not familiar with Modelsim/Questasim simulation) Huh
Could you please offer me some advice? Thank you!
Reply


Messages In This Thread
RE: QuestaSim Installation for RTL Simulation - by CongHuang - 09-22-2022, 07:56 AM

Forum Jump:


Users browsing this thread: 1 Guest(s)