install issue with pulpissimo
#1
I got this error when command : make build

vopt +acc -o vopt_tb tb_pulp -work work
Error: cannot find "/root/intelFPGA/20.1/modelsim_ase/bin/../linux/vopt"
make[1]: *** [Makefile:69: opt] Error 1
make[1]: Leaving directory '/pulpissimo/sim'
make: *** [Makefile:121: build] Error 2

is there anyone have same issue? i think the modelsim has vopt folder on ~/modelsim_ase/bin/vopt


Attached Files Thumbnail(s)
   
Reply
#2
Hello,

It seems like you are using the Intel/Quartus version of modelsim which is known to cause issues . I am not sure if this has been resolved until now. But basically the error you have seems to come from the fact that the command vopt is not working as it should. I am not so familiar with the Intel/quartus version, but there could be many smaller issues. Try running it on the commandline "vopt". In some cases, this is a script that itself is looking for commands , which sometimes are not installed on your linux system. In that case you would also get a command not found error..

i.e.

somedir/vopt

exists, but inside this file there is:

#! /bin/somethingelse

and the "somethingelse" is not there, you will get a message that says:
"Error somedir/vopt command not found"

But it is not because "vopt" is not there, but because "somethingelse" that vopt calls is not available.

We recommend to use the 'proper' Questasim, as I mentioned earlier, we have seen various other problems in the versin that is shipped with Quartus..
Visit pulp-platform.org and follow us on twitter @pulp_platform
Reply
#3
thx a lot kgf

so i started using questasim to install. But i don't have questasim's license. is there any licenses for student? I am the graduated student in korea, skku. or just any starter pack. I really wanted to develop risc-v cores and platform with pulp-platform

thanks for reading!
Reply
#4
thx I solve the problem with questasim starter edition. it can download in intel website
Reply


Forum Jump:


Users browsing this thread: 1 Guest(s)