QuestaSim Installation for RTL Simulation
#6
(08-31-2022, 06:23 AM)kgf Wrote:
(08-30-2022, 09:17 PM)xinhuliu Wrote: Hi, 

I am setting up the environment of pulpissimo, when I run the "make checkout" command, it shows the same error as yours. Do you fix it now?
Because I haven't downloaded QuesaSim on my Ubantu, am I needed to download QuestaSim to run the "make checkout" command, or can I skip this command in other ways?

Thanks in advance! Shy

I believe you are following the instructions under:
  https://github.com/pulp-platform/pulpiss...n-platform

That one is for running RTL simulation, so you would need one or the other RTL simulation program. You do not have to run RTL simulation you can also develop code that would run on the platform through the 'simple runtime', in that case you do not need this step and 'skip' it as you say.  However most people interested in PULPissimo (at some point) would also want to simulate the HW, so they would need this step, and need a simulator.
Thank u for replying, that's very clear! Smile
Reply


Messages In This Thread
RE: QuestaSim Installation for RTL Simulation - by xinhuliu - 09-04-2022, 08:35 PM

Forum Jump:


Users browsing this thread: 1 Guest(s)