QuestaSim Installation for RTL Simulation
#8
I think we need to update the description a little bit. It seems to be confusing.

The
find: `work': No such file or directory

is not really the issue. Do not worry about that that much (we should fix that it appears, but that is not what is causing the problem).

Before you start, make sure
- executables for Modelsim (or other simulator) are in your search path.. i.e. if you type vlog (for Modelsim/Questasim) on the command line it will work.
- seems like the script was tested with bash as the shell (maybe some others as well). At least I had some issues with csh.. You seem to have 'bash' as one of your error lines suggests it. So in your case this should be OK.

The
./bender checkout

part is strange.. once you download bender (part of the make checkout) it should have been installed with execute permissions. I guess, the script is not checking this properly and assumes this is the case. If your setup has a umask that prevents created files to be executable this could cause the issue.. See the permissions of bender
ls -l bender

And if you see it is not executable (something like -rwxr-xr-x) you can change this by
chmod 755 bender

should fix the issue.
Visit pulp-platform.org and follow us on twitter @pulp_platform
Reply


Messages In This Thread
RE: QuestaSim Installation for RTL Simulation - by kgf - 09-22-2022, 05:06 AM

Forum Jump:


Users browsing this thread: 1 Guest(s)